Close

Compiling OSS FPGA tool chain

A project log for iCE40K boards

iCE40K is the only FPGA now with open source tool chain. Need some practise so making some boards for it

deanodeano 08/05/2015 at 17:231 Comment

Got my Lattice iCEstick (1K) and iCE40-HX8K Breakout Board dev boards today from mouser, and have also had a quick go at compiling iCEStorm (http://www.clifford.at/icestorm/) on my mac.

OS X (Yosemite) Instructions

Need Brew package manager to get the various GNU packages that OS X doesn't come with. Commands I fired off were

brew install gawk

brew install pkg-config

brew install libffi

brew install readline

brew install bison

brew install gnu-sed

brew install hg

brew install libftdi

For IceTools, you will need to change icebox/Makefile from using python2 to python before it will build the DB files required.

Download both yosys and arachne-pnr and compile with make

iceprog make file needed hacking to find ftdi header and library path.

It all compiles, haven't actually tried it, yet but should be no problems as far as I can see now :)

Discussions

nd13579 wrote 08/17/2015 at 06:28 point

would you mind sharing the changes you made to the makefile?

  Are you sure? yes | no