sys0800.bit

Latest bit file to try out if you have Mercury FPGA + baseboard + PMOD KYPD + any VGA monitor with analog connector.

Put all switches to "off", and press BTN3 to start the calculator in TI mode. SW7 = on puts it in Sinclair mode, and SW0 allows tracing on VGA.

- 146.12 kB - 09/14/2019 at 06:49

Download